CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vending machine

搜索资源列表

  1. MDBprotocol.rar

    1下载:
  2. MDB是用于自动贩卖机的专用协议,这方面的资料比较少。资料中搜集了一些资料以及这方面的论文,MDB is the vending machine for a special agreement, this information is relatively small. Information collected some information, as well as papers in this area
  3. 所属分类:Internet/网络编程

    • 发布日期:2013-11-10
    • 文件大小:1668493
    • 提供者:sunweiwei
  1. automat.rar

    0下载:
  2. 自己编的一个c++自动售货机程序。功能比较完整,并附有实验报告。,Own a c++ Vending machine program. Function relatively complete, with the experimental report.
  3. 所属分类:Other systems

    • 发布日期:2016-02-21
    • 文件大小:183001
    • 提供者:许发扬
  1. vending-machine

    0下载:
  2. 自动售货机 在控制台环境下实现售货机自动模拟-vending machine
  3. 所属分类:Console

    • 发布日期:2017-04-09
    • 文件大小:1948235
    • 提供者:sunce
  1. EVA_standard

    0下载:
  2. MDB/NAMA/EVA 自動販賣機相關標準與技術資料-MDB/NAMA/EVA vending machine-related standards and technical information
  3. 所属分类:Project Design

    • 发布日期:2017-05-13
    • 文件大小:3349047
    • 提供者:woulin
  1. Simplevendingmachine

    0下载:
  2. 简单自动售货机, c51单片机程序,可以实现简单的自动售货功能。-Simple vending machine, c51 single-chip process, can be a simple function of the automatic vending.
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1531
    • 提供者:王斌
  1. MDBMaster.ZIP

    0下载:
  2. Simulate a Vending Machine
  3. 所属分类:Windows编程

    • 发布日期:2014-01-09
    • 文件大小:834046
    • 提供者:sai2002
  1. Auto

    0下载:
  2. 一个自动售货机的模拟,自己写的,大家看看怎么样?-Simulation of a vending machine, wrote it myself, let us look at how to?
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:4507
    • 提供者:许发扬
  1. Vending

    0下载:
  2. C++超市自动售货机模拟版 适合初学者学习-C++ version of the supermarket vending machine simulation for beginners to learn
  3. 所属分类:Data structs

    • 发布日期:2017-03-28
    • 文件大小:6622
    • 提供者:timmy
  1. MDB2PC_circuit

    0下载:
  2. PDF with a schematic circuit for a Vending Machine Interface for PC
  3. 所属分类:软件工程

    • 发布日期:2013-08-01
    • 文件大小:10183
    • 提供者:sai2002
  1. Vending-machine-source-code

    0下载:
  2. 每一件货的下面都有一个按钮,而我们看到的货,其实都是样品,而我们买到的不是这些样品,而是放在自动售货机的里面的仓库里面,当我们按动按钮时,这时与这件货相对应的仓库门就会打开了,这时,我们就会受到我们想要的货了!-Every piece of cargo below has a button, and we can see the goods, in fact, are samples, and we do not buy these samples, but on the inside the
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-11-07
    • 文件大小:8268
    • 提供者:啾啾
  1. dex

    0下载:
  2. a simple PC Dos program for getting DEX data out of the Vending Machine s DEX port. compile under Borland C++ 3.1
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:32750
    • 提供者:Jason
  1. +VHDL

    0下载:
  2. 很详细用VHDL写的自动售货机程序有详细的说明和设计要求实现功能-Very detailed written using VHDL vending machine procedure is described in detail and design requirements for the realization of function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3260
    • 提供者:linhua
  1. automachine

    1下载:
  2. 自动售货机,采用MVC的架构,可以任意修改出售的物品及其价格,并且附有jUnit测试程序-Vending machine, using the MVC architecture, you can modify any of the items sold and their price, and accompanied by JUnit test procedures
  3. 所属分类:Java Develop

    • 发布日期:2017-04-17
    • 文件大小:253764
    • 提供者:Teness
  1. zidongshouhuoji

    0下载:
  2. 某自动售货机售A,B,C3种商品,他们的价格分别为1,3,4。 售票机进接受一元硬币。售货机面板上设有投币孔和退钱建,每种商品标识处有选择按键,上有指示灯表明当前投币说是否已经足够选买该商品。-A vending machine to sell A, B, C3 kinds of merchandise, their prices were 1,3,4. Into the ticket vending machines to accept one-dollar coins. Vending
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1063
    • 提供者:孙尚龙
  1. vending

    0下载:
  2. vending machine for Quartus 8.1 version. verilog , vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1027
    • 提供者:ww
  1. Vending

    0下载:
  2. 用MFC模拟了一款自动售货机的基本功能,具有投币、退币、购买商品等功能,还能通过输入密码获得管理员权限并编辑商品的种类、数量、价格等信息,针对课设很适合-MFC simulated using the basic functions of a vending machine with a coin, coins back, purchase merchandise and other functions, but also to obtain administrator privileges b
  3. 所属分类:GUI Develop

    • 发布日期:2017-05-29
    • 文件大小:12058098
    • 提供者:杜飞
  1. VHDL-Vending-machine

    0下载:
  2. 用VHDL设计自动售货机,能实现自动找零的功能。-Vending machines with VHDL design, auto-change function can be realized.
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:540493
    • 提供者:毛毛
  1. vending-machine

    0下载:
  2. 简单的自动售货机仿真 ,无界面,全程模拟演示。运行后产生账单。-vending machine
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1980636
    • 提供者:youngshine
  1. Vending-Machine-with-CPP-console

    0下载:
  2. 伪界面版自动售货机1.0版本。 1:实现基本的买,找零。 2:初始化贩卖机 2:统计当日收益。 3:分为管理员和普通用户,包含使用说明。-Vending Machine 1: buy,change 2: calculator the income. 3: admin and General users.
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-03-25
    • 文件大小:484208
    • 提供者:XWC
  1. Vending-machine

    0下载:
  2. labview编写的贩卖机程序,买卖很容易实现,可以显示余额-labview write a vending machine program, the sale is very easy to implement, can show the balance
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-26
    • 文件大小:426419
    • 提供者:huazai
« 12 3 4 5 6 7 8 9 10 ... 19 »
搜珍网 www.dssz.com